aboutsummaryrefslogtreecommitdiff
path: root/iemhelp/help-lp2~.pd
diff options
context:
space:
mode:
Diffstat (limited to 'iemhelp/help-lp2~.pd')
-rw-r--r--iemhelp/help-lp2~.pd123
1 files changed, 63 insertions, 60 deletions
diff --git a/iemhelp/help-lp2~.pd b/iemhelp/help-lp2~.pd
index 1aee957..9f4b0b6 100644
--- a/iemhelp/help-lp2~.pd
+++ b/iemhelp/help-lp2~.pd
@@ -1,60 +1,63 @@
-#N canvas 285 129 387 460 10;
-#X text 11 255 ~signal_in~;
-#X text 24 389 (c) musil@iem.kug.ac.at;
-#X text 66 402 IEM KUG;
-#X text 36 43 dsp ON/OFF;
-#X floatatom 88 171 3 15 133 0 - - -;
-#X obj 88 190 mtof;
-#X floatatom 88 209 9 0 22000 0 - - -;
-#X text 152 210 Hz;
-#X text 66 284 ~signal_out~;
-#X floatatom 191 284 7 0 0 0 - - -;
-#X text 242 285 dB;
-#X floatatom 127 153 9 0 22000 0 - - -;
-#X text 191 154 Hz;
-#X floatatom 54 114 3 15 133 0 - - -;
-#X floatatom 19 83 5 0 0 0 - - -;
-#X text 61 83 % cpu;
-#X floatatom 157 250 5 2 9999 0 - - -;
-#X text 198 251 ms;
-#X obj 19 63 dsp;
-#X floatatom 122 232 4 0.01 1000 0 - - -;
-#X text 157 232 Q;
-#X text 88 354 2.arg:<float> Q [-];
-#X text 88 364 3.arg:<float> interpolation_time [ms];
-#X obj 19 43 tgl 15 0 empty empty empty 8 -8 0 10 -262144 -1 -1 0 1
-;
-#X obj 54 329 dac~;
-#X obj 54 304 gainvu~ 300;
-#X obj 236 162 vu 15 120 empty empty 8 -8 0 10 -66577 -1 1 0;
-#X obj 296 173 vsl 15 128 0 127 0 1 empty empty empty 8 -8 0 10 -262144
--1 -1 10000 1;
-#X obj 54 133 testtone~ 200;
-#X text 41 414 graz \, austria 2001;
-#X obj 118 99 init 69;
-#X text 81 115 midi;
-#X obj 54 270 lp2~ 440 0.707 200;
-#X text 88 344 1.arg:<float> cutoff_freq. [Hz];
-#X floatatom 278 319 7 0 0 0 - - -;
-#X text 329 320 dB;
-#X text 45 62 performance: 0.22 % at 400 MHz-Pentium \, sr=44100;
-#X obj 13 13 cnv 8 1 1 empty empty lp2~ 1 2 1 18 -262144 -1109 0;
-#X text 83 6 lowpass 2.order;
-#X connect 4 0 5 0;
-#X connect 5 0 6 0;
-#X connect 6 0 32 1;
-#X connect 13 0 28 0;
-#X connect 16 0 32 3;
-#X connect 18 0 14 0;
-#X connect 19 0 32 2;
-#X connect 23 0 18 0;
-#X connect 25 0 24 0;
-#X connect 25 0 24 1;
-#X connect 25 1 34 0;
-#X connect 25 2 26 0;
-#X connect 26 1 9 0;
-#X connect 27 0 25 1;
-#X connect 28 0 32 0;
-#X connect 28 1 11 0;
-#X connect 30 0 13 0;
-#X connect 32 0 25 0;
+#N canvas 285 129 391 464 10;
+#X text 11 255 ~signal_in~;
+#X text 36 43 dsp ON/OFF;
+#X floatatom 88 171 3 15 133 0 - - -;
+#X obj 88 190 mtof;
+#X floatatom 88 209 9 0 22000 0 - - -;
+#X text 152 210 Hz;
+#X text 66 284 ~signal_out~;
+#X floatatom 191 284 7 0 0 0 - - -;
+#X text 242 285 dB;
+#X floatatom 127 153 9 0 22000 0 - - -;
+#X text 191 154 Hz;
+#X floatatom 54 114 3 15 133 0 - - -;
+#X floatatom 19 83 5 0 0 0 - - -;
+#X text 61 83 % cpu;
+#X floatatom 157 250 5 2 9999 0 - - -;
+#X text 198 251 ms;
+#X obj 19 63 dsp;
+#X floatatom 122 232 4 0.01 1000 0 - - -;
+#X text 157 232 Q;
+#X text 88 354 2.arg:<float> Q [-];
+#X text 88 364 3.arg:<float> interpolation_time [ms];
+#X obj 19 43 tgl 15 0 empty empty empty 8 -8 0 10 -262144 -1 -1 0 1
+;
+#X obj 54 329 dac~;
+#X obj 54 304 gainvu~ 300;
+#X obj 236 162 vu 15 120 empty empty 8 -8 0 10 -66577 -1 1 0;
+#X obj 296 173 vsl 15 128 0 127 0 1 empty empty empty 8 -8 0 10 -262144
+-1 -1 10000 1;
+#X obj 54 133 testtone~ 200;
+#X obj 118 99 init 69;
+#X text 81 115 midi;
+#X obj 54 270 lp2~ 440 0.707 200;
+#X text 88 344 1.arg:<float> cutoff_freq. [Hz];
+#X floatatom 278 319 7 0 0 0 - - -;
+#X text 329 320 dB;
+#X text 45 62 performance: 0.22 % at 400 MHz-Pentium \, sr=44100;
+#X obj 13 13 cnv 8 1 1 empty empty lp2~ 1 2 1 18 -262144 -1109 0;
+#X text 83 6 lowpass 2.order;
+#X text 106 415 IEM KUG;
+#X text 90 403 musil;
+#X text 120 403 @;
+#X text 126 403 iem.at;
+#X text 41 392 (c) Thomas Musil 2000 - 2005;
+#X text 89 425 Graz \, Austria;
+#X connect 2 0 3 0;
+#X connect 3 0 4 0;
+#X connect 4 0 29 1;
+#X connect 11 0 26 0;
+#X connect 14 0 29 3;
+#X connect 16 0 12 0;
+#X connect 17 0 29 2;
+#X connect 21 0 16 0;
+#X connect 23 0 22 0;
+#X connect 23 0 22 1;
+#X connect 23 1 31 0;
+#X connect 23 2 24 0;
+#X connect 24 1 7 0;
+#X connect 25 0 23 1;
+#X connect 26 0 29 0;
+#X connect 26 1 9 0;
+#X connect 27 0 11 0;
+#X connect 29 0 23 0;