From a0f8c026117d1bbe094894d614136efea4b65c97 Mon Sep 17 00:00:00 2001 From: "N.N." Date: Thu, 14 Aug 2003 14:32:00 +0000 Subject: 10 new sickle classes svn path=/trunk/externals/miXed/; revision=846 --- test/cyclone/a-mix2.pd | 41 +++++++++++++++++++ test/cyclone/curve-test.pd | 89 ++++++++++++++++++++++++++++++++++++++++++ test/cyclone/lores-test.pd | 78 ++++++++++++++++++++++++++++++++++++ test/cyclone/mstosamps-test.pd | 23 +++++++++++ test/cyclone/mtr-test.pd | 85 ++++++++++++++++++++++++++++++++++++++++ test/cyclone/onepole-test.pd | 63 ++++++++++++++++++++++++++++++ test/cyclone/pink-test.pd | 55 ++++++++++++++++++++++++++ test/cyclone/poke-test.pd | 58 +++++++++++++++++++++++++++ test/cyclone/pong-test.pd | 17 ++++++++ test/cyclone/reson-test.pd | 73 ++++++++++++++++++++++++++++++++++ test/cyclone/svf-test.pd | 81 ++++++++++++++++++++++++++++++++++++++ test/cyclone/zerox-test.pd | 33 ++++++++++++++++ 12 files changed, 696 insertions(+) create mode 100644 test/cyclone/a-mix2.pd create mode 100644 test/cyclone/curve-test.pd create mode 100644 test/cyclone/lores-test.pd create mode 100644 test/cyclone/mstosamps-test.pd create mode 100644 test/cyclone/mtr-test.pd create mode 100644 test/cyclone/onepole-test.pd create mode 100644 test/cyclone/pink-test.pd create mode 100644 test/cyclone/poke-test.pd create mode 100644 test/cyclone/pong-test.pd create mode 100644 test/cyclone/reson-test.pd create mode 100644 test/cyclone/svf-test.pd create mode 100644 test/cyclone/zerox-test.pd (limited to 'test') diff --git a/test/cyclone/a-mix2.pd b/test/cyclone/a-mix2.pd new file mode 100644 index 0000000..31ba6b2 --- /dev/null +++ b/test/cyclone/a-mix2.pd @@ -0,0 +1,41 @@ +#N canvas 26 52 303 333 12; +#X obj 128 272 *~ 0; +#X obj 171 272 *~ 0; +#X obj 202 230 dbtorms; +#X obj 17 248 hsl 80 15 0 90 0 0 empty empty empty -2 -6 0 8 -262144 +-1 -1 0 0; +#X obj 121 230 dbtorms; +#X msg 203 194 90; +#X msg 65 194 90; +#X msg 142 194 0; +#X msg 14 194 0; +#X obj 14 128 bng 15 250 50 0 empty empty empty 0 -6 0 8 -262144 -1 +-1; +#X obj 129 128 tgl 15 0 empty empty empty 0 -6 0 8 -262144 -1 -1 0 +1; +#X obj 142 156 sel 1; +#X obj 52 31 inlet~; +#X obj 171 31 inlet~; +#X obj 171 299 outlet~; +#X obj 242 33 vsl 15 80 0 90 0 0 empty empty empty 0 -8 0 8 -262144 +-1 -1 0 0; +#X connect 0 0 14 0; +#X connect 1 0 14 0; +#X connect 2 0 1 1; +#X connect 3 0 4 0; +#X connect 4 0 0 1; +#X connect 5 0 15 0; +#X connect 6 0 3 0; +#X connect 7 0 15 0; +#X connect 8 0 3 0; +#X connect 9 0 8 0; +#X connect 9 0 7 0; +#X connect 10 0 11 0; +#X connect 11 0 7 0; +#X connect 11 0 6 0; +#X connect 11 1 5 0; +#X connect 11 1 8 0; +#X connect 12 0 0 0; +#X connect 13 0 1 0; +#X connect 15 0 2 0; +#X coords 0 0 1 1 150 100 1; diff --git a/test/cyclone/curve-test.pd b/test/cyclone/curve-test.pd new file mode 100644 index 0000000..dd1f325 --- /dev/null +++ b/test/cyclone/curve-test.pd @@ -0,0 +1,89 @@ +#N canvas 52 64 618 381 12; +#N canvas 0 0 450 300 graph1 0; +#X array t 133000 float 0; +#X coords 0 1000 132999 -1000 200 140 1; +#X restore 373 92 graph; +#X obj 23 261 tabwrite~ t; +#X msg 277 291 clear; +#X msg 212 291 clear; +#X floatatom 206 230 5 0 0 0 - - -; +#X obj 46 291 delay~ 4096 4096; +#X obj 23 135 curve~; +#X obj 206 196 Snapshot~ 100; +#X floatatom 23 22 5 0 0 0 - - -; +#X obj 23 64 t 0 b; +#X obj 46 330 capture~ f; +#X msg 23 96 0 \, 1000 100 \$1; +#X obj 277 330 capture~ f 2206; +#N canvas 47 16 654 382 curves 0; +#X obj 31 351 outlet; +#X obj 31 17 inlet; +#X msg 31 86 0 \, 1000 1000 0.5 0 1000 0.5 1000 1000 0; +#X msg 62 146 1000 \, 0 1000 1 1000 1000 1 0 1000 0; +#X msg 88 209 0 \, 1000 1000 0.9 0 0 0 -500 1000 0.8 0 0 0 1000 1000 +0.7; +#X msg 105 278 0 \, 1000 1000 0.1 0 0 0 -500 1000 0.2 0 0 0 1000 1000 +0.3; +#X obj 31 49 sel 1 -1 2 -2 3 -3 4 -4; +#X msg 73 311 0 \, 1000 1000 -0.1 0 0 0 -500 1000 -0.2 0 0 0 1000 1000 +-0.3; +#X msg 94 243 0 \, 1000 1000 -0.9 0 0 0 -500 1000 -0.8 0 0 0 1000 1000 +-0.7; +#X msg 80 174 1000 \, 0 1000 -1 1000 1000 -1 0 1000 0; +#X msg 47 117 0 \, 1000 1000 -0.5 0 1000 -0.5 1000 1000 0; +#X connect 1 0 6 0; +#X connect 2 0 0 0; +#X connect 3 0 0 0; +#X connect 4 0 0 0; +#X connect 5 0 0 0; +#X connect 6 0 2 0; +#X connect 6 1 10 0; +#X connect 6 2 3 0; +#X connect 6 3 9 0; +#X connect 6 4 4 0; +#X connect 6 5 8 0; +#X connect 6 6 5 0; +#X connect 6 7 7 0; +#X connect 7 0 0 0; +#X connect 8 0 0 0; +#X connect 9 0 0 0; +#X connect 10 0 0 0; +#X restore 237 96 pd curves; +#X obj 237 64 t 0 b; +#X msg 107 22 1; +#X msg 151 22 -1; +#X msg 193 22 2; +#X msg 237 22 -2; +#X msg 282 22 3; +#X msg 368 22 4; +#X msg 326 22 -3; +#X msg 412 22 -4; +#X obj 72 200 bng 15 250 50 0 empty empty empty 0 -6 0 8 -262144 -1 +-1; +#X connect 2 0 12 0; +#X connect 3 0 10 0; +#X connect 5 0 10 0; +#X connect 6 0 1 0; +#X connect 6 0 5 0; +#X connect 6 0 7 0; +#X connect 6 0 12 0; +#X connect 6 1 3 0; +#X connect 6 1 23 0; +#X connect 7 0 4 0; +#X connect 8 0 9 0; +#X connect 9 0 11 0; +#X connect 9 1 1 0; +#X connect 9 1 2 0; +#X connect 11 0 6 0; +#X connect 13 0 6 0; +#X connect 14 0 13 0; +#X connect 14 1 1 0; +#X connect 14 1 2 0; +#X connect 15 0 14 0; +#X connect 16 0 14 0; +#X connect 17 0 14 0; +#X connect 18 0 14 0; +#X connect 19 0 14 0; +#X connect 20 0 14 0; +#X connect 21 0 14 0; +#X connect 22 0 14 0; diff --git a/test/cyclone/lores-test.pd b/test/cyclone/lores-test.pd new file mode 100644 index 0000000..545d2ff --- /dev/null +++ b/test/cyclone/lores-test.pd @@ -0,0 +1,78 @@ +#N canvas 189 10 584 487 12; +#X obj 39 18 noise~; +#X obj 144 435 dac~; +#X floatatom 308 111 5 0 0 0 - - -; +#X obj 391 143 *~ 10; +#X obj 391 172 +~ 0; +#X floatatom 479 114 5 0 0 0 - - -; +#X floatatom 391 82 5 0 0 0 - - -; +#X obj 159 303 a-mix2; +#X obj 38 82 a-mix2; +#X obj 349 18 loadbang; +#X msg 479 58 0; +#X msg 308 82 1000; +#X obj 391 114 osc~ 4; +#X msg 522 58 1000; +#X msg 243 82 5000; +#X msg 514 82 10000; +#X msg 354 58 13; +#X msg 397 58 4; +#X obj 323 268 lores~; +#X obj 92 268 vcf~; +#X floatatom 123 236 5 0 0 0 - - -; +#X floatatom 310 160 5 0 0 0 - - -; +#X obj 248 211 - 1; +#X obj 248 240 t b 0; +#X obj 196 211 / -1; +#X obj 196 182 * -0.001; +#X obj 323 303 *~ 0.1; +#N canvas 217 47 350 257 pulse 0; +#X obj 144 176 train~ 10 0.1; +#X obj 145 21 inlet; +#X obj 144 206 outlet~; +#X obj 144 146 /; +#X obj 145 51 t b 0; +#X msg 123 96 1000; +#X connect 0 0 2 0; +#X connect 1 0 4 0; +#X connect 3 0 0 0; +#X connect 4 0 5 0; +#X connect 4 1 3 1; +#X connect 5 0 3 0; +#X restore 133 50 pd pulse; +#X floatatom 133 18 5 0 0 0 - - -; +#X connect 0 0 8 0; +#X connect 2 0 4 1; +#X connect 2 0 25 0; +#X connect 3 0 4 0; +#X connect 4 0 18 1; +#X connect 4 0 19 1; +#X connect 5 0 3 1; +#X connect 6 0 12 0; +#X connect 7 0 1 0; +#X connect 7 0 1 1; +#X connect 8 0 18 0; +#X connect 8 0 19 0; +#X connect 9 0 11 0; +#X connect 9 0 10 0; +#X connect 10 0 5 0; +#X connect 11 0 2 0; +#X connect 12 0 3 0; +#X connect 13 0 5 0; +#X connect 14 0 2 0; +#X connect 15 0 5 0; +#X connect 16 0 6 0; +#X connect 17 0 6 0; +#X connect 18 0 26 0; +#X connect 19 0 7 0; +#X connect 20 0 19 2; +#X connect 21 0 18 2; +#X connect 21 0 22 0; +#X connect 22 0 23 0; +#X connect 23 0 24 0; +#X connect 23 1 24 1; +#X connect 24 0 20 0; +#X connect 25 0 24 0; +#X connect 26 0 7 1; +#X connect 27 0 8 1; +#X connect 28 0 27 0; diff --git a/test/cyclone/mstosamps-test.pd b/test/cyclone/mstosamps-test.pd new file mode 100644 index 0000000..67f23cd --- /dev/null +++ b/test/cyclone/mstosamps-test.pd @@ -0,0 +1,23 @@ +#N canvas 0 0 450 300 12; +#X obj 160 72 mstosamps~; +#X floatatom 160 39 5 0 0 0 - - -; +#X obj 245 143 sampstoms~; +#X floatatom 330 180 5 0 0 0 - - -; +#X floatatom 245 110 5 0 0 0 - - -; +#X obj 244 212 Snapshot~ 100; +#X floatatom 244 246 5 0 0 0 - - -; +#X obj 98 143 Snapshot~ 100; +#X floatatom 98 180 5 0 0 0 - - -; +#X floatatom 41 39 5 0 0 0 - - -; +#X obj 41 72 sig~; +#X connect 0 0 7 0; +#X connect 0 0 2 0; +#X connect 0 1 4 0; +#X connect 1 0 0 0; +#X connect 2 0 5 0; +#X connect 2 1 3 0; +#X connect 4 0 2 0; +#X connect 5 0 6 0; +#X connect 7 0 8 0; +#X connect 9 0 10 0; +#X connect 10 0 0 0; diff --git a/test/cyclone/mtr-test.pd b/test/cyclone/mtr-test.pd new file mode 100644 index 0000000..6d40842 --- /dev/null +++ b/test/cyclone/mtr-test.pd @@ -0,0 +1,85 @@ +#N canvas 80 56 592 383 12; +#X obj 150 267 mtr 7 ________; +#X msg 125 81 write; +#X msg 21 17 record; +#X obj 232 142 hsl 128 15 0 127 0 0 empty hs1 empty -2 -6 64 8 -262144 +-1 -1 0 1; +#X obj 167 337 s hs1; +#X obj 249 173 hsl 128 15 0 127 0 0 empty hs2 empty -2 -6 64 8 -262144 +-1 -1 0 1; +#X obj 184 302 s hs2; +#X msg 52 48 play; +#X msg 416 159 record; +#X msg 430 192 play; +#X msg 446 227 write; +#X obj 271 227 testmess 100; +#X obj 271 204 bng 15 250 50 0 empty empty empty 0 -6 0 8 -262144 -1 +-1; +#X msg 107 48 stop; +#X msg 490 192 stop; +#X msg 92 17 record 6; +#X msg 142 109 write test.mtr; +#X msg 23 183 first 0; +#X msg 21 81 play 0 6; +#X msg 423 267 write test1.mtr; +#X msg 26 267 read; +#X msg 27 302 read test.mtr; +#X msg 189 17 rewind; +#X msg 25 218 debug; +#X obj 26 337 print stamp; +#X obj 271 302 print tr7; +#X obj 362 109 print tr1; +#X obj 322 17 r hs1; +#X obj 322 44 spigot; +#X msg 389 44 0; +#X obj 322 71 t b a; +#X msg 260 17 next; +#X msg 389 17 1; +#X obj 260 44 t a b; +#X obj 445 44 spigot; +#X msg 512 44 0; +#X obj 445 71 t b a; +#X msg 512 17 1; +#X obj 485 109 print tr2; +#X obj 445 17 r hs2; +#X connect 0 0 24 0; +#X connect 0 1 4 0; +#X connect 0 2 6 0; +#X connect 0 7 25 0; +#X connect 1 0 0 0; +#X connect 2 0 0 0; +#X connect 3 0 0 1; +#X connect 5 0 0 2; +#X connect 7 0 0 0; +#X connect 8 0 0 2; +#X connect 9 0 0 2; +#X connect 10 0 0 2; +#X connect 11 0 0 7; +#X connect 12 0 11 0; +#X connect 13 0 0 0; +#X connect 14 0 0 2; +#X connect 15 0 0 0; +#X connect 16 0 0 0; +#X connect 17 0 0 0; +#X connect 18 0 0 0; +#X connect 19 0 0 2; +#X connect 20 0 0 0; +#X connect 21 0 0 0; +#X connect 22 0 0 0; +#X connect 23 0 0 0; +#X connect 27 0 28 0; +#X connect 28 0 30 0; +#X connect 29 0 28 1; +#X connect 30 0 29 0; +#X connect 30 1 26 0; +#X connect 31 0 33 0; +#X connect 32 0 28 1; +#X connect 33 0 0 0; +#X connect 33 1 32 0; +#X connect 33 1 37 0; +#X connect 34 0 36 0; +#X connect 35 0 34 1; +#X connect 36 0 35 0; +#X connect 36 1 38 0; +#X connect 37 0 34 1; +#X connect 39 0 34 0; diff --git a/test/cyclone/onepole-test.pd b/test/cyclone/onepole-test.pd new file mode 100644 index 0000000..4165e6c --- /dev/null +++ b/test/cyclone/onepole-test.pd @@ -0,0 +1,63 @@ +#N canvas 274 148 584 417 12; +#X obj 39 16 noise~; +#X obj 144 376 dac~; +#X floatatom 308 112 5 0 0 0 - - -; +#X obj 92 209 lop~; +#X obj 323 209 onepole~; +#X obj 391 145 *~ 10; +#X obj 391 175 +~ 0; +#X floatatom 479 115 5 0 0 0 - - -; +#X floatatom 391 82 5 0 0 0 - - -; +#X obj 159 244 a-mix2; +#X obj 38 82 a-mix2; +#X obj 349 16 loadbang; +#X obj 141 209 Snapshot~ 2; +#X msg 479 58 0; +#X msg 308 82 1000; +#X obj 391 115 osc~ 4; +#X msg 522 58 1000; +#X msg 243 82 5000; +#X msg 514 82 10000; +#X msg 354 58 13; +#X msg 397 58 4; +#N canvas 217 47 350 257 pulse 0; +#X obj 144 176 train~ 10 0.1; +#X obj 145 21 inlet; +#X obj 144 206 outlet~; +#X obj 144 146 /; +#X obj 145 51 t b 0; +#X msg 123 96 1000; +#X connect 0 0 2 0; +#X connect 1 0 4 0; +#X connect 3 0 0 0; +#X connect 4 0 5 0; +#X connect 4 1 3 1; +#X connect 5 0 3 0; +#X restore 133 50 pd pulse; +#X floatatom 133 16 5 0 0 0 - - -; +#X connect 0 0 10 0; +#X connect 2 0 6 1; +#X connect 3 0 9 0; +#X connect 4 0 9 1; +#X connect 5 0 6 0; +#X connect 6 0 4 1; +#X connect 6 0 12 0; +#X connect 7 0 5 1; +#X connect 8 0 15 0; +#X connect 9 0 1 0; +#X connect 9 0 1 1; +#X connect 10 0 3 0; +#X connect 10 0 4 0; +#X connect 11 0 14 0; +#X connect 11 0 13 0; +#X connect 12 0 3 1; +#X connect 13 0 7 0; +#X connect 14 0 2 0; +#X connect 15 0 5 0; +#X connect 16 0 7 0; +#X connect 17 0 2 0; +#X connect 18 0 7 0; +#X connect 19 0 8 0; +#X connect 20 0 8 0; +#X connect 21 0 10 1; +#X connect 22 0 21 0; diff --git a/test/cyclone/pink-test.pd b/test/cyclone/pink-test.pd new file mode 100644 index 0000000..b1f4fdf --- /dev/null +++ b/test/cyclone/pink-test.pd @@ -0,0 +1,55 @@ +#N canvas 114 365 411 405 12; +#X obj 27 23 pink~; +#X obj 146 212 Scope~ 249 177 256 3 128 -1 1 0 0 0 0 102 255 51 135 +135 135 0; +#N canvas 540 253 607 486 spectrum 1; +#X obj 24 233 Scope~ 562 220 2 3 512 0 512 0 0 0 0 102 255 51 135 135 +135 0; +#X obj 136 117 cartopol~; +#X obj 136 73 rfft~; +#X obj 380 25 block~ 1024; +#X obj 24 159 vectral~ 1024; +#X obj 24 25 count~ 0 1024 1 1; +#X floatatom 73 73 5 0 0 0 - - -; +#X msg 172 159 slide 10 10; +#X msg 92 193 range 0 512 \, 2 \, bufsize 512; +#X obj 226 25 inlet~; +#X obj 24 117 *~ 0.55; +#X obj 226 73 *~ 1; +#X floatatom 295 25 5 0 0 0 - - -; +#X connect 1 0 4 2; +#X connect 2 0 1 0; +#X connect 2 1 1 1; +#X connect 4 0 0 0; +#X connect 5 0 4 1; +#X connect 5 0 10 0; +#X connect 6 0 10 1; +#X connect 7 0 4 0; +#X connect 8 0 0 0; +#X connect 9 0 11 0; +#X connect 10 0 4 0; +#X connect 11 0 2 0; +#X connect 12 0 11 1; +#X restore 27 369 pd spectrum; +#X obj 52 274 osc~ 1000; +#X floatatom 52 241 5 0 0 0 - - -; +#X floatatom 84 306 5 0 0 0 - - -; +#X obj 53 337 *~ 0; +#X obj 101 65 minmax~; +#X obj 101 95 Snapshot~ 100; +#X obj 231 95 Snapshot~ 100; +#X floatatom 101 125 5 0 0 0 - - -; +#X floatatom 231 125 5 0 0 0 - - -; +#X msg 101 35 reset; +#X connect 0 0 1 0; +#X connect 0 0 2 0; +#X connect 0 0 7 0; +#X connect 3 0 6 0; +#X connect 4 0 3 0; +#X connect 5 0 6 1; +#X connect 6 0 2 0; +#X connect 7 0 8 0; +#X connect 7 1 9 0; +#X connect 8 0 10 0; +#X connect 9 0 11 0; +#X connect 12 0 7 0; diff --git a/test/cyclone/poke-test.pd b/test/cyclone/poke-test.pd new file mode 100644 index 0000000..ec92e81 --- /dev/null +++ b/test/cyclone/poke-test.pd @@ -0,0 +1,58 @@ +#N canvas 334 79 638 463 12; +#N canvas 0 0 450 300 graph1 0; +#X array 0-t 20000 float 0; +#X coords 0 1 19999 -1 200 140 1; +#X restore 59 17 graph; +#N canvas 0 0 450 300 graph1 0; +#X array 1-t 20000 float 0; +#X coords 0 1 19999 -1 200 140 1; +#X restore 326 17 graph; +#X msg 279 259 1; +#X msg 279 295 2; +#X msg 279 331 3; +#X msg 279 224 0; +#X obj 150 384 poke~ t 1; +#X obj 65 217 Uzi; +#X obj 65 247 counter; +#X msg 65 187 1000; +#X obj 65 313 % 1000; +#X obj 65 277 t 0 0; +#X obj 65 349 / 1000; +#X msg 122 217 set 0; +#X floatatom 150 281 5 0 0 0 - - -; +#X floatatom 188 317 5 0 0 0 - - -; +#X floatatom 392 199 5 0 0 0 - - -; +#X floatatom 392 270 5 0 0 0 - - -; +#X obj 392 234 peek~ t 1; +#X obj 432 349 sig~; +#X floatatom 432 322 5 0 0 0 - - -; +#X obj 505 349 sig~; +#X floatatom 505 322 5 0 0 0 - - -; +#X msg 373 349 bang; +#X obj 432 379 poke~ t 2; +#X floatatom 504 199 5 0 0 0 - - -; +#X floatatom 504 270 5 0 0 0 - - -; +#X obj 504 234 peek~ t 2; +#X connect 2 0 6 2; +#X connect 3 0 6 2; +#X connect 4 0 6 2; +#X connect 5 0 6 2; +#X connect 7 0 8 0; +#X connect 8 0 11 0; +#X connect 9 0 7 0; +#X connect 10 0 12 0; +#X connect 11 0 10 0; +#X connect 11 1 6 1; +#X connect 12 0 6 0; +#X connect 13 0 8 0; +#X connect 14 0 6 0; +#X connect 15 0 6 1; +#X connect 16 0 18 0; +#X connect 18 0 17 0; +#X connect 19 0 24 0; +#X connect 20 0 19 0; +#X connect 21 0 24 1; +#X connect 22 0 21 0; +#X connect 23 0 24 0; +#X connect 25 0 27 0; +#X connect 27 0 26 0; diff --git a/test/cyclone/pong-test.pd b/test/cyclone/pong-test.pd new file mode 100644 index 0000000..2a5e97a --- /dev/null +++ b/test/cyclone/pong-test.pd @@ -0,0 +1,17 @@ +#N canvas 0 0 450 300 12; +#X obj 143 147 pong~; +#X obj 143 194 Snapshot~ 100; +#X floatatom 143 229 5 0 0 0 - - -; +#X floatatom 143 36 5 0 0 0 - - -; +#X floatatom 163 69 5 0 0 0 - - -; +#X floatatom 183 99 5 0 0 0 - - -; +#X msg 46 99 mode \$1; +#X obj 46 69 tgl 15 0 empty empty empty 0 -6 0 8 -262144 -1 -1 0 1 +; +#X connect 0 0 1 0; +#X connect 1 0 2 0; +#X connect 3 0 0 0; +#X connect 4 0 0 1; +#X connect 5 0 0 2; +#X connect 6 0 0 0; +#X connect 7 0 6 0; diff --git a/test/cyclone/reson-test.pd b/test/cyclone/reson-test.pd new file mode 100644 index 0000000..2f5267e --- /dev/null +++ b/test/cyclone/reson-test.pd @@ -0,0 +1,73 @@ +#N canvas 274 148 584 487 12; +#X obj 39 19 noise~; +#X obj 144 435 dac~; +#X floatatom 308 117 5 0 0 0 - - -; +#X obj 391 149 *~ 10; +#X obj 391 181 +~ 0; +#X floatatom 479 117 5 0 0 0 - - -; +#X floatatom 391 85 5 0 0 0 - - -; +#X obj 159 300 a-mix2; +#X obj 38 92 a-mix2; +#X obj 348 19 loadbang; +#X msg 479 58 0; +#X msg 308 85 1000; +#X obj 391 117 osc~ 4; +#X msg 522 58 1000; +#X msg 243 85 5000; +#X msg 354 58 13; +#X msg 397 58 4; +#X obj 38 265 vcf~; +#X floatatom 216 186 5 0 0 0 - - -; +#X obj 346 265 reson~ 1; +#X obj 37 300 *~ 0.25; +#X obj 346 300 *~ 0.25; +#X msg 522 92 4000; +#X floatatom 216 255 5 0 0 0 - - -; +#X floatatom 419 218 5 0 0 0 - - -; +#N canvas 217 47 350 257 pulse 0; +#X obj 144 176 train~ 10 0.1; +#X obj 145 21 inlet; +#X obj 144 206 outlet~; +#X obj 144 146 /; +#X obj 145 51 t b 0; +#X msg 123 96 1000; +#X connect 0 0 2 0; +#X connect 1 0 4 0; +#X connect 3 0 0 0; +#X connect 4 0 5 0; +#X connect 4 1 3 1; +#X connect 5 0 3 0; +#X restore 133 50 pd pulse; +#X floatatom 133 19 5 0 0 0 - - -; +#X connect 0 0 8 0; +#X connect 2 0 4 1; +#X connect 3 0 4 0; +#X connect 4 0 19 2; +#X connect 4 0 17 1; +#X connect 5 0 3 1; +#X connect 6 0 12 0; +#X connect 7 0 1 0; +#X connect 7 0 1 1; +#X connect 8 0 17 0; +#X connect 8 0 19 0; +#X connect 9 0 11 0; +#X connect 9 0 10 0; +#X connect 10 0 5 0; +#X connect 11 0 2 0; +#X connect 12 0 3 0; +#X connect 13 0 5 0; +#X connect 14 0 2 0; +#X connect 15 0 6 0; +#X connect 16 0 6 0; +#X connect 17 0 20 0; +#X connect 18 0 19 3; +#X connect 18 0 17 2; +#X connect 19 0 21 0; +#X connect 20 0 7 0; +#X connect 21 0 7 1; +#X connect 22 0 5 0; +#X connect 23 0 21 1; +#X connect 23 0 20 1; +#X connect 24 0 19 1; +#X connect 25 0 8 1; +#X connect 26 0 25 0; diff --git a/test/cyclone/svf-test.pd b/test/cyclone/svf-test.pd new file mode 100644 index 0000000..d110efe --- /dev/null +++ b/test/cyclone/svf-test.pd @@ -0,0 +1,81 @@ +#N canvas 197 96 582 543 12; +#X obj 38 21 noise~; +#X obj 77 504 dac~; +#X floatatom 307 110 5 0 0 0 - - -; +#X obj 390 142 *~ 10; +#X obj 390 176 +~ 0; +#X floatatom 478 110 5 0 0 0 - - -; +#X floatatom 390 78 5 0 0 0 - - -; +#X obj 53 277 a-mix2; +#X obj 37 85 a-mix2; +#X obj 348 21 loadbang; +#X msg 478 50 0; +#X msg 307 78 1000; +#X obj 390 110 osc~ 4; +#X msg 521 50 1000; +#X msg 242 78 5000; +#X msg 353 50 13; +#X msg 396 50 4; +#X floatatom 246 176 5 0 0 0 - - -; +#X obj 53 245 *~ 0.25; +#X obj 139 245 *~ 0.25; +#X msg 521 85 4000; +#X floatatom 410 250 5 0 0 0 - - -; +#X obj 215 212 svf~; +#X obj 235 277 a-mix2; +#X obj 235 245 *~ 0.25; +#X obj 321 245 *~ 0.25; +#X obj 91 391 a-mix2; +#N canvas 217 47 350 257 pulse 0; +#X obj 144 176 train~ 10 0.1; +#X obj 145 21 inlet; +#X obj 144 206 outlet~; +#X obj 144 146 /; +#X obj 145 51 t b 0; +#X msg 123 96 1000; +#X connect 0 0 2 0; +#X connect 1 0 4 0; +#X connect 3 0 0 0; +#X connect 4 0 5 0; +#X connect 4 1 3 1; +#X connect 5 0 3 0; +#X restore 133 50 pd pulse; +#X floatatom 133 21 5 0 0 0 - - -; +#X msg 435 50 689; +#X connect 0 0 8 0; +#X connect 2 0 4 1; +#X connect 3 0 4 0; +#X connect 4 0 22 1; +#X connect 5 0 3 1; +#X connect 6 0 12 0; +#X connect 7 0 26 0; +#X connect 8 0 22 0; +#X connect 9 0 11 0; +#X connect 9 0 10 0; +#X connect 10 0 5 0; +#X connect 11 0 2 0; +#X connect 12 0 3 0; +#X connect 13 0 5 0; +#X connect 14 0 2 0; +#X connect 15 0 6 0; +#X connect 16 0 6 0; +#X connect 17 0 22 2; +#X connect 18 0 7 0; +#X connect 19 0 7 1; +#X connect 20 0 5 0; +#X connect 21 0 19 1; +#X connect 21 0 18 1; +#X connect 21 0 24 1; +#X connect 21 0 25 1; +#X connect 22 0 18 0; +#X connect 22 1 19 0; +#X connect 22 2 24 0; +#X connect 22 3 25 0; +#X connect 23 0 26 1; +#X connect 24 0 23 0; +#X connect 25 0 23 1; +#X connect 26 0 1 0; +#X connect 26 0 1 1; +#X connect 27 0 8 1; +#X connect 28 0 27 0; +#X connect 29 0 6 0; diff --git a/test/cyclone/zerox-test.pd b/test/cyclone/zerox-test.pd new file mode 100644 index 0000000..f579242 --- /dev/null +++ b/test/cyclone/zerox-test.pd @@ -0,0 +1,33 @@ +#N canvas 235 256 580 405 12; +#X obj 88 184 zerox~; +#X msg 154 149 set \$1; +#X floatatom 154 120 5 0 0 0 - - -; +#X obj 89 84 cycle~ 1; +#X floatatom 89 55 5 0 0 0 - - -; +#X obj 225 219 Snapshot~ 100; +#X floatatom 225 251 5 0 0 0 - - -; +#X obj 88 219 Snapshot~ 100; +#X floatatom 88 251 5 0 0 0 - - -; +#X obj 48 313 capture~ f 512; +#X msg 88 280 clear; +#X obj 409 184 zerox~; +#X obj 459 219 edge~; +#X obj 409 256 counter; +#X floatatom 409 288 5 0 0 0 - - -; +#X msg 376 219 set 0; +#X floatatom 409 149 5 0 0 0 - - -; +#X connect 0 0 7 0; +#X connect 0 0 9 0; +#X connect 0 1 5 0; +#X connect 1 0 0 0; +#X connect 2 0 1 0; +#X connect 3 0 0 0; +#X connect 4 0 3 0; +#X connect 5 0 6 0; +#X connect 7 0 8 0; +#X connect 10 0 9 0; +#X connect 11 1 12 0; +#X connect 12 0 13 0; +#X connect 13 0 14 0; +#X connect 15 0 13 0; +#X connect 16 0 11 0; -- cgit v1.2.1