aboutsummaryrefslogtreecommitdiff
path: root/desiredata/doc/2.control.examples/08.depthfirst.pd
diff options
context:
space:
mode:
Diffstat (limited to 'desiredata/doc/2.control.examples/08.depthfirst.pd')
-rw-r--r--desiredata/doc/2.control.examples/08.depthfirst.pd48
1 files changed, 0 insertions, 48 deletions
diff --git a/desiredata/doc/2.control.examples/08.depthfirst.pd b/desiredata/doc/2.control.examples/08.depthfirst.pd
deleted file mode 100644
index 8820d226..00000000
--- a/desiredata/doc/2.control.examples/08.depthfirst.pd
+++ /dev/null
@@ -1,48 +0,0 @@
-#N canvas 144 162 632 551 12;
-#X msg 64 51 1;
-#X obj 89 150 + 1;
-#X obj 209 187 print x1;
-#X obj 64 209 print x3;
-#X obj 114 122 print x2;
-#X obj 209 100 + 1;
-#X obj 209 129 + 1;
-#X obj 209 158 + 1;
-#X obj 64 80 t f f f f;
-#X obj 89 179 print x2;
-#X text 34 13 In Pd \, message passing is depth first \, so that in
-this patch:;
-#X text 104 51 <-- click here;
-#X text 17 243 ... you get "x1" first \, notwidthstanding the fact
-that "x2" and "x3" appear to be closer to the source. This means that
-you shouldn't do this:;
-#X msg 76 304 1;
-#X text 116 304 <-- maybe you shouldn't click here;
-#X obj 115 334 + 1;
-#X obj 76 333 f;
-#X floatatom 76 365 0 0 0;
-#X text 377 520 updated for Pd version 0.34;
-#X text 35 393 ... because the "depth" is infinite. The counters you've
-seen always have the message chain terminated somewhere in a cold inlet:
-;
-#X msg 75 453 1;
-#X obj 114 483 + 1;
-#X obj 75 482 f;
-#X floatatom 75 514 0 0 0;
-#X text 115 453 <-- better;
-#X connect 0 0 8 0;
-#X connect 1 0 9 0;
-#X connect 5 0 6 0;
-#X connect 6 0 7 0;
-#X connect 7 0 2 0;
-#X connect 8 0 3 0;
-#X connect 8 1 1 0;
-#X connect 8 2 4 0;
-#X connect 8 3 5 0;
-#X connect 13 0 16 0;
-#X connect 15 0 16 0;
-#X connect 16 0 17 0;
-#X connect 16 0 15 0;
-#X connect 20 0 22 0;
-#X connect 21 0 22 1;
-#X connect 22 0 23 0;
-#X connect 22 0 21 0;