From 9a3e9ae4965eb8d2cb93ee6c264a307d6f9ee14e Mon Sep 17 00:00:00 2001 From: Thomas Grill Date: Wed, 17 Sep 2003 02:32:55 +0000 Subject: "" svn path=/trunk/; revision=979 --- externals/grill/flext/source/flstdc.h | 22 ++++++++++++++++++++++ 1 file changed, 22 insertions(+) (limited to 'externals/grill/flext/source/flstdc.h') diff --git a/externals/grill/flext/source/flstdc.h b/externals/grill/flext/source/flstdc.h index 36fe8e15..fc51e890 100644 --- a/externals/grill/flext/source/flstdc.h +++ b/externals/grill/flext/source/flstdc.h @@ -236,4 +236,26 @@ typedef t_symbol *t_symptr; #endif +// ----- set message queue mode ----- +#undef FLEXT_QTHR + +#if FLEXT_SYS == FLEXT_SYS_PD && PD_MINOR_VERSION >= 37 + // for PD version >= 0.37test10 FLEXT_PDLOCK is standard + #undef FLEXT_PDLOCK + #define FLEXT_PDLOCK +#endif + +#ifdef FLEXT_PDLOCK + // new PD thread locking functionality shall be used + #if FLEXT_SYS == FLEXT_SYS_PD + #ifdef FLEXT_THREADS + // can only be used with PD and threaded build + #define FLEXT_QTHR + #endif + #else + #error FLEXT_PDLOCK can only be defined with PD + #endif +#endif + + #endif -- cgit v1.2.1