From 57045df5fe3ec557e57dc7434ac1a07b5521bffc Mon Sep 17 00:00:00 2001 From: Guenter Geiger Date: Mon, 29 Jul 2002 17:06:19 +0000 Subject: This commit was generated by cvs2svn to compensate for changes in r58, which included commits to RCS files with non-trunk default branches. svn path=/trunk/; revision=59 --- pd/doc/5.reference/vd~.pd | 19 +++++++++++++++++++ 1 file changed, 19 insertions(+) create mode 100644 pd/doc/5.reference/vd~.pd (limited to 'pd/doc/5.reference/vd~.pd') diff --git a/pd/doc/5.reference/vd~.pd b/pd/doc/5.reference/vd~.pd new file mode 100644 index 00000000..5a36ff73 --- /dev/null +++ b/pd/doc/5.reference/vd~.pd @@ -0,0 +1,19 @@ +#N canvas 109 10 654 410 12; +#X floatatom 50 194 0 0 0; +#X obj 50 287 outlet~; +#X text 130 286 signal output (delayed signal); +#X obj 24 16 vd~; +#X text 60 9 reads a signal from a delay line at a variable delay time (4-point-interpolation); +#X obj 50 222 sig~; +#X text 99 219 signal input (delay time in ms); +#X obj 50 254 vd~ del_example; +#X text 193 252 creation argument: name of delay line; +#X text 31 51 vd~ implements a 4-point interpolating delay tap from a corresponding delwrite~ object. The delay in milliseconds of the tap is specified by the incoming signal.; +#X text 35 340 see also:; +#X obj 123 343 delwrite~; +#X obj 212 342 delread~; +#X text 354 373 updated for Pd version 0.33; +#X text 28 103 The delay time is always at least one sample and at most the length of the delay line (specified by hte delwrite~). In addition \, in case the delwrite~ runs later in the DSP loop than the vd~ \, the delay is constrained below by one vector length (64 samples.); +#X connect 0 0 5 0; +#X connect 5 0 7 0; +#X connect 7 0 1 0; -- cgit v1.2.1